[XSL-LIST Mailing List Archive Home] [By Thread] [By Date]

RE: [xsl] very Simple nested for each loops...


Subject: RE: [xsl] very Simple nested for each loops...
From: Andy.Benson@xxxxxxx
Date: Wed, 6 Aug 2003 17:31:52 +0100

Hi,

the structure of the XML document will be very simple:

<?xml version="1.0" encoding="iso-8859-1" ?>
<mux>

      <set1>1</set1>
      <set2>0</set2>

</mux>


And the target output format is text. The actual application is to make the
output format in Verilog which is a text based chip design language. We are
looking to make some sections of our (verilog)
code configurable based apon the input configurations in the XML file.

You might have guessed we do not know much about XML / XSL , but it seems
that it should do the trick for us, even if its not normally used to design
chips!

I hope this is enough information for you,


best regards ,

Andy









 XSL-List info and archive:  http://www.mulberrytech.com/xsl/xsl-list



Current Thread
Keywords